• 18

10nm製程以材料的角度來看TSMC贏過三星


NQQegg wrote:
EE Times的...(恕刪)


https://www.cnbeta.com/articles/tech/765803.htm
三星明年将成全球首个提供3D SiP的代工厂 3nm 2020年试产

三星最近在日本举办了三星铸造论坛2018(Samsung Foundry Forum 2018,SFF),发布了几个重要的信息。 除了重申计划在未来几个季度开始使用极紫外光刻(EUVL)开始大批量生产(HVM),同时重申计划使用具有3纳米节点的栅极FET(GAAFET),三星还将新的8LPU工艺技术增加到其路线图。另外,2019年开始提供3D SiP以及2020年开始风险生产3nm节点也都是亮点。

三星代工厂的总体路线图于今年早些时候公布 ,因此在日本的SFF,三星重申其部分计划,也进行了一些修正,并提供了有关其未来计划的一些额外细节。
首先,三星基于10纳米工艺增加了被称为8LPU(low power ultimate)的新工艺,根据三星的分类,这是一个为需要高时钟频率和高晶体管密度SoC准备的工艺。8LPU是8LPP技术平台的进一步升级,可能会增加晶体管密度和提升频率。三星的8LPP技术去年投入生产 ,基于三星10纳米节点的开发,与10LPP相比,更窄的最小金属间距可减小10%的面积(同样的复杂性),并且功耗降低10%(同样的频率和复杂性)。 不过,三星没有透露它如何在8LPP的基础上提升8LPU,比如设计规则、新的库以及最小金属间距。
三星8LPP和8LPU技术面向需要比10LPC和10LPU工艺所能提供的更高性能或更低功率或更高晶体管密度的客户,但无法获得三星7LPP或更先进的制造技术EUVL。 8LPU的风险生产将于2018年开始,预计明年将在韩国Giheung的Fab S1工厂开始大批量生产。
7LPP EUV正在进行中
去年三星承诺在2018年开始使用7LPP生产芯片,看来,三星已经开始制造7LPP SoC,但可能仅限于其母公司,因为其MPW(Multi-Project Wafer)服务时间表未提及7LPP。 7LPP生产技术将是三星代工厂的旗舰工艺,因此很可能首先用于三星的移动SoC。 同时,该工艺也适用于针对HPC,ML和AI芯片。 例如,三星正在为定制芯片准备专用IP,包括100 Gbps + SerDes等。


在论坛上,三星表示已经在韩国华城的Fab S3安装了多个ASML Twinscan NXE:3400B EUVL步进和扫描系统。当然并未透露具体的数量,但它明确扫描仪的每日晶圆(WPD)性能符合其批量生产目标。 事实上,由于EUV将首次用于HVM,三星代工厂不倾向于将其扩展到特定客户的设计之外(三星和高通已经为骁龙 5G SoC选择了7LPP,将在2019年生产)。



在三华工厂建立另一条生产线之后,三星将对EUV光刻技术的进行扩展,该生产线预计将耗资 6万亿韩元(约46.15亿美元),预计将于2019年完工,并于2020年启动HVM。因此,三星使用EUVL设备的生产将会限制在一个工厂至少几个季度,这或许也是三星代工厂开发8LPP和8LPU工艺的原因。
5/4nm 2019年的风险试产
当华城的新生产线投入运营时,三星承诺将开始风险试产5/4 nm节点。三星正在准备5LPE,4LPE和4LPP技术,当然也可能增加。 根据三星迄今为止所披露的情况,它们将具有一定的相似性,这将简化从5LPE到4LPP的迁移。



三星在SFF 2018日本展示的一张幻灯片表明,三星预计使用5/4 nm节点的芯片将于2019年开始风险生产,这表明这些工艺技术将共存而不是相互跟随。 由于三星几乎没有理由设计竞争性制造工艺,因此它的5LPE更有可能在2020年首先用于HVM,然后4LPE / 4LPP将使用随后新增的EUV设备,除非三星的路线图发生重大变化。
要记住的一件事,三星的5/4 nm将成为该公司使用FinFET晶体管的最后一个节点,这就是为什么它将成为未来许多年使用的“长”节点,就像今天使用的28nm技术。
3纳米2020年风险生产
三星宣布的令人意外事件之一是在2020年开始使用其3纳米节点进行风险生产,这比之前的预期至少提前一年。 三星的3纳米将是第一个使用该公司自己的GAAFET实现的节点,称为MBCFET(multi-bridge-channel FETs),并且至少包含两种工艺技术:3GAAE和3GAAP(3nm gate-all-around early/plus)。
不过三星仍没有公布3GAAE和3GAAP的任何目标,很难说该公司何时会生产基于MBCFET技术的商用SoC。我们今天所理解的是两种技术都依赖于EUVL,因此在使用之前,三星必须确保EUV提供必要的产量和性能。 考虑到三星对ASML Twinscan NXE:3400B EUVL步进扫描系统的性能表示满意,并预计WPD生产率将进一步提高,因此有可能将其引入3纳米节点。
18FDS将于2019年风险生产
虽然距离GAAFET只有几年的时间,但平面晶体管的技术不会随处可见仍会不断发展。 三星代工厂将继续支持FD-SOI技术,并将成为GlobalFoundries的22FDX和12FDX产品强大的竞争对手。
三星代工厂打算在2019年开始使用其18FDS开始风险生产,所以HVM要到2020。 该技术采用与三星14LPE / 14LPP相同的BEOL互连(即最初为其20纳米平面工艺开发的BEOL),但采用了新的晶体管和FEOL。 三星承诺 ,与其28FDS相比,18FDS将使性能提高20%(在相同的复杂性和功率下),功率降低40%(在相同的频率和复杂度下),并且芯片面积减小30%。
特别重要的是,18FDS将支持RF和eMRAM,使得三星代工厂能满足2020年及以后的5G时代RF和嵌入式存储器的各种应用需求。
3D系统级封装在2019年提供
芯片封装技术近来变得越来越重要,因为将所有器件集成到单个处理器中变得越来越困难和昂贵。 三星(与台积电和GlobalFoundries一样)已经为复杂产品提供了许多封装解决方案,例如用于移动SoC的FOPLP-PoP和用于HBM2 DRAM芯片的I-Cube(2.5D)。明年三星将提供其3D SiP(系统级封装)解决方案,使其能够将各种器件封装在一个面积很小的三维封装中。



三星代工厂的3D SiP将成为业界首个用于异构3D SiP的技术之一(目前所有SiP都是2D)。 封装解决方案将使半导体合约制造商能够使用完全不同工艺技术制造的元件组装SiP。

日前,三星在日本举办了三星铸造工厂论坛(SFF)2018年会,更新了技术路线图。简单来说,主要有三点,一是基于EUV技术的7nm制程工艺会在接下来几个季度内大规模量产(初期EUV仅用于选择层),二是导入8nm LPU工艺,三是重申,围绕3nm节点,将引入闸极全环场效晶体管(Gate-all-aroundFET,GAAFET),来取代FinFET(鳍式场效应晶体管)。
关于第一点,三星称已经在韩国华城的S3工厂配置了多台ASML Twinscan NXE:3400B EUV光刻机,投资6万亿韩元的新EUV产线预计2019年竣工,2020年扩大生产规模。
目前,官宣采用三星7nm LPP工艺的是高通骁龙5G SoC。

关于第二点,8nm LPU(low power ultimate)是8nm LPP的改良版,后者比10nm LPP减少10%的芯片面积和10%的功耗,看起来LPU将进一步在功耗、面积上做文章。
由于三星7nm LPP补充产能需要等到2020年,此间就是8nm在市场大展拳脚的契机。按照ZDNet的说法,高通也是三星8nm的客户。
至于第三点,三星将FinFET技术的极限发挥到5nm LPE和4nm LPP,计划2019年风险试产。不过到了3nm时代,芯片越做越小,电流信道宽度不断变窄,难以控制电流方向,三星提出了GAAFET方案,定于2020年早些时候试产。
另外,三星还表示,2019年,单芯片封装技术3D SiP将准备就绪。

NQQegg wrote:
從EE Times...(恕刪)


台積電7+奈米EUV明年量產
https://www.eettaiwan.com/news/article/20181008NT01-TSMC-Goes-Photon-to-Cloud?utm_source=EETT%20Article%20Alert&utm_medium=Email&utm_campaign=2018-10-09

•2018年10月8日
•Rick Merritt, EE Times矽谷採訪中心主任
台積電(TSMC)宣佈投片採用EUV微影技術的首款7+奈米晶片,並將於明年4月風險試產5nm EUV製程…
台積電(TSMC)宣佈投片採用部份極紫外光(EUV)微影技術的首款7+奈米(nm)晶片,並將於明年4月開始風險試產(risk production)採用完整EUV的5nm製程。
根據台積電更新的資料顯示,其先進製程節點持續在面積和功率方面提升,但晶片速度無法再以其歷史速度推進。為了彌補這一點,台積電更新其開發中用於加速晶片間互連的六種封裝技術。
此外,台積電並與Cadence等4家業界夥伴合作,共同支援後段晶片設計的線上服務。支持者表示,基於雲端的服務將縮短時間並擴大晶片設計工具的範圍,有助於延展正面臨摩爾定律(Moore’s Law)放緩的半導體產業。然而,他們也指出,雲端設計仍處於需要設定和最佳化自定義平台的早期階段。
在製程技術方面,台積電宣佈以N7+製程節點投片客戶晶片,該製程節點採用可處理4層光罩的EUV。而其N5 EUV則可提高到處理多達14層光罩,並將在明年4月準備好進行風險試產。透過EUV技術可望減少先進設計所需的光罩數,從而降低成本。
而其競爭對手三星(Samsung)也加速在7nm節點上採用EUV。此外,根據分析師表示,英特爾(Intel)預計短期內還不會使用EUV,而格芯(Globalfoundries)則已在今年8月宣佈暫緩7nm和EUV的研發投入。
台積電表示,根據採用Arm A72核心的測試,N5晶片將帶來14.7%~17.7%的速度提升以及縮減1.8%~1.86%的佔位面積。N7+製程節點則可降低6~12%的功率和以及提升20%的密度。然而,台積電並未提到N7+的速度可提升多少。
目前,基於N5技術節點的晶片設計即日起啟用,不過,大多數EDA工具至少要到今年11月後才能達到0.9版本的可用性。台積電的許多基礎IP模組已經為N5準備就緒,但包括PCIe Gen 4和USB 3.1等部份規格可能要到明年6月才能到位。
N7+技術節點採用更緊密的金屬線距,並包含一個有助於降低動態功率的單鰭庫。明年4月還將推出汽車設計版本。台積電研究發展/設計暨技術平台副總經理侯永清表示,N7+提供了「與N7幾乎相同的類比性能」。
台積電表示,N7的電晶體密度比代工廠的40nm節點更高16.8倍。遺憾的是,更先進製程帶來的成本也在水漲船高。據消息來源之一指出,N5設計的總成本包括人工和IP授權費用約高達2億至2.5億美元,較目前7nm晶片所需要的1.5億美元更大幅上漲。
平面製程與封裝技術佈局
此外,台積電並提供兩種平面22nm製程。其目標在於與Globalfoundries和三星的FD-SOI製程競爭。Globalfoundries於上個月底宣佈其22nm FD-SOI的設計訂單超過50項。
預計在今年年底之前,工程師就能採用台積電的22ULP和ULL製程展開設計,這些製程通常採用28nm設計規則,並支援0.8到0.9V。但部份可用於22nm節點的IP預計要到明年6月後才能到位,包括PCIe Gen 4、DDR4、LPDDR4、HDMI 2.1和USB 3.1區塊等。
專用於高效能的22nm ULP版本速度提升高達10%,功耗降低20%,且比28 HPC+版本更低10%。ULL版本的目標在於為藍牙晶片等設計提供最低功耗。預計到明年4月將會有一個支援1.05~0.54V電壓的版本就緒,並為類比電路實現最佳化。
針對封裝技術,候永清並更新台積電的晶圓級扇出(Fan-Out)技術,特別是用於互連智慧型手機應用處理器和記憶體的 2項整合扇出型(InFO)技術。
整合扇出型封裝——InFO-on-Substrate是一種晶片優先製程,在SoC和40nm SoC I/O間距之間採用2微米互連。65mm2晶片目前已可量產。InFO-Memory-on-Substrate則將在年底前投入量產,用於在完整的830mm2光罩上連結邏輯和典型的HBM記憶體。
台積電CoWoS的2.5D製程則將在使用180~150微米的C4凸點間距縮小,預計在今年年底前達到130微米間距。台積電還將在明年4月將1.5倍光罩擴展到使用2倍光罩,以支援大型GPU和一些網路ASIC等設計。
而另一類型的整合晶片系統(System-on-Integrated-Chips;SoIC)則將在明年5月之前取得EDA的支持和代工認證。該設計途徑是透過矽穿孔(TUV)連接間距小於10微米的凸塊,用於連結彼此堆疊的一個或兩個晶片。侯永清說:「這是提升性能和記憶體頻寬的另一種方式。」
編譯:Susan Hong
(參考原文:TSMC Goes Photon to Cloud ,by Rick Merritt)

健人就是腳勤
MPV5233 wrote:
(3)《科技》Dialog進軍氮化鎵市場,採台積電製程- 中時電子報
https://www.chinatimes.com/realtimenews/20160825004864-260410
2016年8月25日 - 戴樂格半導體(Dialog Semiconductor)進軍氮化鎵(GaN)市場,今(25)日宣布,發表氮化鎵功率IC產品,採用台積電(2330)的650V矽上氮化 ....(恕刪)


台積電在三五族上的野心不會這麼小

有一個非常大的市場
那就是micro-LED
現在只能做到mini scale
採用晶粒擺放的方式

Apple有與TSMC合作microLED
最好的方法就是在Si上長三五族的LED
這樣就可以變成micro scale

microLED是未來的顯示器亮點
OLED只是過渡而已
因為RGB是有機高分子發光
有機的會有壽命問題
而且RGB三原色的壽命不依樣會造成色偏
所以RGB型三原色行無法製作電視這種要長時間的顯示
三星會在RGB型OLED栽跟頭就是這種原因

TSMC如果把LED on Si做出來
那會很恐怖
我都在等Apple watch用microLED了
Micro LED的roadmap一直在delay

三五族的晶格常數與si不同
這就要有技術...

其實不只是三五族與Si的晶格常數不同
連Ge也不一樣

28nm以後的PMOS要添加Ge以增加電洞的mobility
加入Ge後會把晶格扭曲
有人說這是strain Si
Ge把si的晶格撐大了...
健人就是腳勤
兩年內離不開台積電 蘋果將承擔單一供應商風險
https://www.eettaiwan.com/news/article/20181009NT31-Apple-TSMC-Sole-Source-Embrace-Holds-Risk-for-iPhone-Maker?utm_source=EETT%20Article%20Alert&utm_medium=Email&utm_campaign=2018-10-11

•2018年10月9日
•Alan Patterson,EE Times

蘋果可能會讓台積電成為其應用處理器的唯一供應商,且時間長達至少兩年…
根據產業分析師的說法,由於其他晶圓代工廠未能達到預期產能,蘋果(Apple)可能會讓台積電(TSMC)成為其應用處理器的唯一供應商,且時間長達至少兩年。

這家全球最大的電子公司和世界上最大的晶圓代工廠互相合作,但雙方都可能發現這種緊密的合作關係有點不怎麼「舒服」。若是蘋果讓台積電成為A11處理器的唯一供應商,而不與其他供應商合作,對於定期推出新款iPhone和iPad的蘋果來說,將面臨風險。另一方面,台積電將近80%的7奈米(nm)製程產都將供應給蘋果,並於今年投入生產。

這段合作關係始於2014年,當時蘋果新款iPhone和iPad的晶片都交給台積電生產。蘋果營運長(COO)Jeff Williams去年10月在台積電的一場活動中提到,台灣的晶圓代工廠投資90億美元,並僱用6,000名員工日以繼夜、以創紀錄的11個月時間在台南成功設立新廠。

Arete Research分析師Brett Simpson在接受《EE Times》採訪時說:「只要台積電每年持續提供創新的產品,並且擁有高良率,預期台積電在未來幾年,將仍然是蘋果的唯一供應商。」

瑞士信貸(Credit Suisse)分析師Randy Abrams表示,台積電很可能在2020年之前仍然是蘋果處理器的唯一供應商,對於蘋果來說這是一個很特殊的情況,以往該公司傾向由多家亞洲供應商提供關鍵零組件,以分散風險並掌握定價的話語權。Abrams告訴《EE Times》:「蘋果和台積電迄今已從這種關係中互惠互利,蘋果每年皆能將處理器升級,而台積電擁有一個非常大的主要客戶,推升其新製程的規模。」

對台積電來說,支持蘋果代表著巨大的資本投資,也代表著必須以更快的速度推出領先半導體業界的技術。


2018年台積電7nm製程客戶比重。(資料來源:台積電公司資料和Bernstein Research預估及分析)

潛在競爭對手在過去幾年中,台積電可能的競爭對手隨著產業整併而減少,可以從台積電手中搶走蘋果生意的公司可能不到兩家。根據Simpson的說法,以前為蘋果生產應用處理器的三星(Samsung)在未來將面臨許多不利的情況。

他說:「三星透過一個計畫來擴大自己的晶圓代工業務,並希望假以時日成為全球第二大業者,但是若要借助蘋果的訂單來推升其市場佔有率將是一大挑戰。目前三星已經是智慧型手機有機發光二極體(OLED)螢幕的唯一供應商,並提供蘋果大量的DRAM、相機感測器(camera sensors)和記憶體晶片。三星可能有興趣打入蘋果的晶圓代工供應鏈,但他們目前在蘋果iPhone的物料清單(BOM)中佔比已經非常高。」

根據Simpson的說法,英特爾(Intel)對蘋果來說是較不可能的合作對象。「英特爾(Intel)通常被視為潛在的晶圓代工廠商,因為它們投資於先進技術上,但實際情況是,晶圓代工廠與大量製造微處理器的方式完全不同。」更何況,英特爾還缺乏蘋果所需的低功耗SoC製造經驗,因此Arete Research認為英特爾無法替代台積電。

EE Times分析師提到,由於台積電在7nm技術領域佔有領先地位,因而為該公司贏得新的生意機會。Bernstein Research分析師Mark Li指出,台積電今年將重新獲得高通(Qualcomm)的先進產品線訂單。Li說:「這是一個重要的進展,因為高通自2014年以來,一直向三星和Globalfoundries採購高階產品。」

提升封裝優勢
台積電已經鎖定了蘋果A10和A11處理器業務,部分原因在於台灣晶圓代工廠在封裝技術方面的競爭優勢。台積電於2016年推出了針對蘋果A10處理器的整合扇出型(InFO)封裝技術,InFO採用扇出型晶圓級封裝方式,而非覆晶封裝,封裝厚度減少20%、速度增益提高20%,且導熱效能提高10%。

隨著摩爾定律(Moore’s Law)在開發上已達到5nm的物理極限,目前半導體製造業已朝向「超越摩爾(more than Moore)」時代演進。台積電成為第一家在封裝技術上,透過多晶片組合而無需中層基板(intervening substrate),將晶片I/O密度提高,超越傳統球閘陣列(BGA)封裝的代工廠。

當摩爾定律接近物理極限,而且成本對於大部分晶片廠商來說已經接近門檻時,新的製造方式開始出現,以解決這些難題。

半導體產業協會(Semiconductor Industry Association;SIA)在2016年7月取消了業內廣為人知的技術計畫,即國際半導體技術發展藍圖(ITRS)。SIA決定終止此藍圖發展,代表業界已承認摩爾定律的發展已減緩,在更加互連的世界中,需要開發新的工具、圖表和程序來定義目前與未來研發差距(research gaps)。

台積電的InFO封裝技術被視為摩爾定律連續縮放假設(continuous scaling assumption)的替代方案。Williams提到,他並不擔心未來的處理能力不足。他在去年台積電活動中指出:「蘋果不擔心半導體產業發展減緩的說法。」他說事實並非如此,蘋果認為未來半導體產業發展潛力相當大,「我們目前看好雲端領域的發展,但未來發展趨勢將會朝向設備端的處理。蘋果相信這是在不影響反應性(responsiveness)、隱私和安全性的情況下,提供最佳規格的最好方式。」

強大的生態系統
台積電擁有其他競爭對手所缺乏的強項。該公司建立了強大的設備和IP供應商的生態系統,以優先支援其晶圓代工的研發進展。

Simpson說,這有助於降低無晶圓廠(fabless)公司的成本。他表示:「從頭開始設計7nm晶片所需的一次性工程費用(NRE)會提高到1億美元以上,因此,任何投資於此的晶片製造商都需要先確認是否有一個健全的開發生態系統,和提供給新晶圓代工流程的大量最佳化IP區塊(IP blocks),以確保送交製作(tapeout)的過程能順利進行,這對台積電來說是一種差異化的方式。
(參考原文: Apple-TSMC Sole-Source Embrace Holds Risk for iPhone Maker,by Alan Patterson)

「純淨」是7奈米以下IC製程節點成功關鍵
https://www.eettaiwan.com/news/article/20181011NT31-pureness-is-essential-to-sub-7nm-process-node

•2018年10月11日
•Judith Cheng, EE Times Taiwan

當製程節點來到了個位數字奈米時代,晶圓廠供應鏈業者所扮演的角色重要性更為顯著,只因為7奈米以下製程的高生產良率,取決於「純淨」這個關鍵詞...

每當半導體製程依循摩爾定律(Moore’s Law)往更先進節點前進,人們的目光焦點就會集中在各家晶圓廠,關注新技術的量產進度與良率表現。實際上,每一個製程世代的順利發展,除了半導體製造業者本身的技術實力,包括設備、材料等晶圓廠供應鏈所有廠商的齊心協力是缺一不可;特別是當製程節點來到了個位數字奈米時代,這些晶圓廠供應鏈業者所扮演的角色重要性更為顯著,只因為7奈米以下製程的高生產良率,取決於「純淨」這個關鍵詞。

電子氣體純化是先進製程良率關鍵

從眾家廠商在年度SEMICON Taiwan 2018國際半導體展透露的訊息,可以明顯看出以上趨勢。如專長電子與半導體製程應用之氣體與化學品生產的聯華林德(Linde LienHwa)電子技術與創新研發總監Carl Jackson接受EE Times Taiwan訪問時就表示,半導體製程中應用的化學氣體之純化對於先進節點非常重要,因為奈米等級元件的電路接觸點,已經微縮至只有幾個原子大小,生產過程中所使用的各種原料必須要確保純淨無雜質,才能避免晶片電路連結出現錯誤。

而Jackson也指出,半導體製程所使用的氣體種類相當複雜,包括沉積、蝕刻、晶圓清潔、摻雜與微影等等步驟使用的氣體都不相同,生產不同元件會需要的氣體之化學配方與溫度等參數也會有差異,因此氣體供應商必須要與半導體製造商密切配合,才能讓晶片生產順利。


在半導體製程不同步驟會使用不同的電子氣體(圖片來源:聯華林德)

聯華林德是總部位於德國的化學大廠林德集團(The Linde Group)與台灣聯華實業合資成立的公司,除了專注於工業用氣體的純化,為服務本地的客戶並降低運輸成本與風險,該公司不但在兩年前將技術研發中心由美國移至台灣,也持續在本地投資生產線,目前在竹科、中科與南科皆有工廠據點。林德集團負責大中華區業務的電子材料副總裁Ahsual Sarda表示,確保可靠、穩定的供應是對客戶的基本承諾,而聯華林德也非常注重氣體在運輸與應用上的安全性,會指派駐廠人員協助客戶在生產過程中安全使用各種氣體。

材料純化還不夠 輸送過程也要徹底乾淨

提供晶圓廠專用特殊化學品、先進材料,以及半導體生產線污染控制、晶圓輸送等解決方案的美商英特格(Entegris),則是將「純淨」的範圍更進一步擴大,除了注重所供應化學材料(包括氣體與液體)的純化,還能為客戶提供化學品運輸過程、以及在生產線上輸送過程都保持潔淨的整體化解決方案,例如化學品儲存容器、流體輸送系統管線接頭與閥門、過濾裝置/濾芯,還有晶圓片輸送盒等產品,以及為半導體客戶提供上述產品的清潔服務與生產線微污染控制。

英特格副技術長Montray Leavey接受EE Times Taiwan採訪時表示,當半導體製程前進至10奈米以下,甚至到7奈米、5奈米,對生產線純淨度的要求只會更為嚴苛,而且生產線上可能出現的雜質粒子數量雖然會因為技術的演進而減少,但尺寸也會大幅縮小、更難以察覺,因此能否謹慎地在每一個生產步驟控制污染物,對於製程良率有絕對的影響;而該公司所扮演的角色不只是晶圓廠的供應商、更是策略夥伴,在推進每一個節點時都需要與IC製造業者密切合作,克服將新材料導入製程以及防治污染物的種種挑戰。
「我們的期望是在業界只要提到『純淨』,第一個想到的就是英特格;」英特格台灣分公司總經理謝俊安指出,台灣是英特格最重視的市場之一,在本地不僅設置有技術研發中心,還有以奈米熔噴(Nano Melt Blown)技術生產化學機械研磨(CMP)過濾器使用之濾芯、供應全球市場的生產線。為進一步服務客戶,英特格還投資了600萬美元(約1.8億台幣)在台灣技術研發中心設置了先進的晶圓檢測設備、Class 10無塵室等等精密儀器,以提供先進製程所需的更純淨、高可靠度解決方案。

晶圓檢測設備扮演最後把關者

除了從生產原料與製造流程中確保「純淨」,在製程後段則得靠檢測設備扮演最後的「把關」角色;KLA-Tencor資深副總裁暨行銷長Oreste Donzella就表示,半導體業者在先進製程中「幾乎沒有出錯的空間;但晶圓片上可能導致良率損失的缺陷尺寸已經小於現有檢測系統的極限。」

為此KLA開發了新一代晶圓缺陷檢測系統,在光源、感測器以及軟體演算法上導入創新技術,提高了檢測的解析度,能針對包括污染粒子、刮痕、滑移線與層疊缺陷(stacking faults)等缺陷類型進行分類,找出影響良率的最小「殺手」。其中Voyager 1015系統提供了檢測圖案化晶圓的功能,包括能在光阻顯影後、晶圓尚可重做的情況下立即在微影單元中進行檢查;Surfscan SP7系統則是為裸晶圓與平滑/粗糙薄膜提供更高的缺陷檢測靈敏度,及早發現晶片製程問題所在,這對於7nm奈米以下邏輯製程與先進記憶體製程節點至關重要。

有鑑於晶圓級封裝(WLP)技術日益受到市場重視,KLA在今年SEMICON Taiwan還發表了兩款針對先進封裝製程打造的晶圓檢測系統,以協助晶圓廠或是半導體封測業者(OSAT)提升封裝製程良率以及晶片分類(sorting)的精確度;包括可提供關鍵製程控制與材料處置訊息的Kronos 1080,以及能在晶圓切割後對封裝進行檢查並根據關鍵缺陷類型快速分類晶片的ICOS F160。


KLA專為先進封裝製程打造之晶圓檢測系統(圖片來源:KLA-Tencor)

Donzella表示,先進封裝技術是半導體產業「超越摩爾定律」(Moore than more)的關鍵解決方案;先進的封裝技術更小的元件特徵、更高密度的金屬圖案和重分佈層,都需要更高標準甚至創新的檢測解決方案。結合多模光學系統、感測器以及專有缺陷檢測演算法的Kronos 1080系統,旨在檢測先進的晶圓級封裝製程步驟,為製程控制提供各種缺陷類型資訊,並導入了KLA-Tencor的IC晶片製造檢測解決方案FlexPoint,將檢測集中在晶片內缺陷會產生最大影響的關鍵區域,因此能檢測出像是扇出型封裝會有的晶圓翹曲等問題。

在晶圓級封裝通過測試和切割之後,則由ICOS F160執行檢測和晶片分類,以發現在晶圓切割過程中產生的雷射光刻槽、髮絲裂縫和側壁裂縫;垂直於晶片表面的側壁裂縫通常難以檢測,無法以傳統目測方法發現,而這類缺陷在用以隔離高密度金屬佈線的較脆弱新材料上特別容易發生。能以高靈敏度檢測出先進半導體元件的缺陷所在,會是確保製程高良率以及為終端使用者帶來更高品質產品的關鍵步驟。
NQQegg wrote:
台積電在三五族上的...(恕刪)


想請教micro QLED又是什麼新產品?

https://www.sammobile.com/news/samsung-trademarks-micro-qled-in-south-korea/
Samsung trademarks Micro QLED in South Korea
It has been known for some time that Samsung is working on alternate TV technologies to compete with OLED TVs from rivals. At CES 2018, Samsung offered first glimpses of it when it announced, ‘The Wall’, its first 146-inch modular MicroLED TV.
Samsung recently announced MicroLED
Samsung’s MicroLED technology does share some traits with OLED. Just like OLED panels, Samsung MicroLED panels are also self-emitting which means each sub-pixel produces its own light and doesn’t need a dedicated backlight. Because of the similarities, Samsung’s MicroLED technology is seen as a worthy rival to the OLED TVs in the market.
Interestingly, even before the MicroLED TVs are released and reviewed, Samsung has filed for a “Micro QLED” trademark in South Korea. On January 11, 2018, Samsung filed two trademark applications with the Korean Intellectual Property Office. While one of them is for the 8K QLED TV which was shown at CES 2018, the other application is for Micro QLED which hasn’t been announced or showcased anywhere so far. The MicroLED tech announced by Samsung at CES 2018 is not part of these applications.






Unsurprisingly, the Micro QLED trademark has resulted in speculation about Samsung developing a new line-up of self-emitting QLED TVs. Of course, a trademark application alone doesn’t guarantee the development or existence of Micro QLED technology as Samsung, like many other companies, occasionally trademarks names to be on the safer side.
When asked to comment, a Samsung official told The Investor “I have never heard about Micro QLED. As you know, filing a trademark doesn’t necessarily mean a product will be launched anytime soon. We’ll see.”


ambitiously wrote:
想請教micro QLED...(恕刪)


Q代表Quantum Dot 量子點,是一種發光體
QLED其實是液晶,只是背光模組是Quantum Dot
前面還是要Color Filter與液晶

QLED是三星在OLED失敗後把液晶電視換個名子來包裝而已
原因前面有說過
OLED的RGB三原色有色衰而且壽命過不了電視的規範
所以無法量產RGB型的OLED TV
在手機面板上賭大家兩三年就換手機
所以手機會用到

Micro QLED應是Micro是形容詞QLED是名詞
所以應是噱頭

三星故意用LED電視來混淆消費者又不是第一次
明明是液晶電視,只是背光源從冷陰極射線管(CCFL)變成LED顆粒就說是LED電視

然後OLED做不出來就把液晶電視的背光源從LED變成Quantum Dot
稱作QLED

反正OLED與QLED一般消費者也不知道
只是Q比O多了一撇...


至於LG的OLED電視做得出來
其實也不是正統的OLED

正統的OLED就如手機的OLED面板是RGB三原色
但這條路走不通

LG很聰明地用白光LED (WOLED)
白光在經過color filter濾成RGB三原色再來混光就形成彩色
也就是把液晶電視改裝
液晶層拿掉
背光層改為白光OLED
那麼缺點與液晶電視一樣
白光被濾成RGB後亮度只剩不到三分之一再混光成彩色
而RGB三原色OLED TV是各自發RGB的光比較省電
不過這樣用WOLED做的出來
三星的RGB OLED TV做不出來

三星也曾想過學LG的WOLED來濾光成RGB三原色
但是LG早已在專利上布下天羅地網
三星繞不過去
所以只能換些名詞才替液晶電視找個酷炫的話題來炒作...
健人就是腳勤

ambitiously wrote:
At CES 2018, Samsung offered first glimpses of it when it announced, ‘The Wall’, its first 146-inch modular MicroLED TV...(恕刪)


一般業界把LED晶粒大小>100um叫做mini LED
晶粒小於100um才叫做Micro LED

據Digi Times報導
三星146吋The Wall模組化電視的晶粒尺寸大於0.1mmx0.2mm, 只能叫做 mini LED
不過是貨真價實的LED電視

據指出,三星在IFA期間特別開闢Micro LED私人展位,展示更精密的75吋Micro LED電視。75吋Micro LED電視搭載的晶粒尺寸,卻僅有0.03mmx0.05mm(30umx50um),晶粒尺寸減小至原有的1/4或約1/5,實現真正的Micro LED微小尺寸,推測將在2019年CES公開亮相。

現階段Mini/Micro LED顯示屏的適當尺寸,以4K解析度為例,Micro LED顯示屏約需要2,500萬個LED微小元件,當螢幕尺寸越小,LED顆粒的間距更緊密,組裝排列的困難度及良率較低,當觀看距離愈近,對於畫面壞點亦更容易注意到,這亦是5吋級智慧型手機更難導入Micro LED的原因。

這需要"巨量轉移"的技術,就是把這麼多的顆粒排在TFT的面板上
因為LED前面還要有TFT來控制光亮度讓發出RGB造成彩色
所以大家都在創造噱頭與話題
要量產
mini LED已令人頭大
何況micro LED...

不過Apple集中火力在中小尺寸
說不定TSMC有本事開發出LED on Si
那就不用"巨量轉移"的技術了
直接一體成形
那就說有多酷就有多酷
在未來
說不定TSMC把三星的中小面板顯示器也一併打敗
不過成本這關就很麻煩...

Micro LED才是真正的夢幻顯示器
健人就是腳勤
macacafly wrote:
台積只是在晶片代工技術上暫時領先三星.

但是三星有晶片設計, 有手機設計, 有記憶體, 有一堆其他巨頭產業.

張忠謀跟三星總裁比起來, 還是輸很大.

一個專精晶圓代工一樣,

一個同時搞很多樣還很多樣世界第一, 晶圓代工技術第二,

就知道五十年後最後會是三星存在, 台積電消失.
...(恕刪)

三星..整合型產品..
目的..怕關鍵零件被卡死..主要利潤被拿走..
因此..想掌控核心零件的設計+製造..
你看這次中美貿易大戰..中興只是被封鎖主要零件..就等破產..
如果這種事..如果發生在三星身上..
至少三星拿得出自己的次等產品..硬撐一下..也不至於坐等破產..
甚至於..可以拿出記憶體等產品..漲價報復+跌價報復..反反覆覆..搞得雞犬不寧..

結論是..
台積..專業代工..非常非常的專業代工..

三星..整合發展..整合型產品做得好..利潤就會好..例如手機..
其次是..順道若能成頂尖專業代工最好..
不能成為頂尖專業代工..但也完成一定程度的產品防衛..

完全不一樣的思維
台G的風險不是技術領先而是apple手機銷售,一旦蘋果下神壇,所有先進投資的產能都要想其他辦法塞滿。某種程度三星這方面分散風險是比較好。自家手機賣不好,還可以賣面板和DRAM。

NQQegg wrote:
兩年內離不開台積電...(恕刪)
  • 18
內文搜尋
X
評分
評分
複製連結
請輸入您要前往的頁數(1 ~ 18)
Mobile01提醒您
您目前瀏覽的是行動版網頁
是否切換到電腦版網頁呢?